The Perl Toolchain Summit needs more sponsors. If your company depends on Perl, please support this very important event.
.gitignore 03
Changes 16
MANIFEST 01
MANIFEST.SKIP 01
META.json 041
META.yml 1321
Makefile.PL 11
Project.pm 22
README 11
contrib/dir-project.el 11
project_bin 22
project_dir 32
project_dir.mk 11
t/00_pod.t 11
t/01_manifest.t 11
t/02_help.t 25
t/03_spaces.t 11
t/10_project.t 11
t/20_project_dir.t 11
t/30_project_bin.pl 11
t/30_project_bin.t 11
t/40_make.mk 11
t/40_make.t 11
t/test_utils.pl 11
24 files changed (This is a version diff) 3798
@@ -5,6 +5,9 @@ pm_to_blib
 *.c
 *.bs
 *.old
+*.tmp
+*.bak
 i386-linux
 sparc-solaris
 test_dir
+MYMETA.*
@@ -3,6 +3,11 @@ Revision history for Perl extension Dir::Project.
 The contributors that suggested a given feature are shown in [].  [by ...]
 indicates the contributor was also the author of the fix; Thanks!
 
+
+* Dir::Project 3.025 2014/04/12
+
+****	Fix test failure with Pod::Usage 1.63.
+
 * Dir::Project 3.024 2012/03/16
 
 ****	Allow symlinked-tool --project_bin-which.  [Brad Dobbie]
@@ -83,7 +88,7 @@ DESCRIPTION: Documentation on change history for this package
 
 This uses outline mode in Emacs.  See C-h m [M-x describe-mode].
 
-Copyright 2001-2012 by Wilson Snyder.  This program is free software;
+Copyright 2001-2014 by Wilson Snyder.  This program is free software;
 you can redistribute it and/or modify it under the terms of either the GNU
 Lesser General Public License Version 3 or the Perl Artistic License Version 2.0.
 
@@ -22,3 +22,4 @@ t/30_project_bin.t
 t/40_make.mk
 t/40_make.t
 t/test_utils.pl
+META.json                                Module JSON meta-data (added by MakeMaker)
@@ -18,3 +18,4 @@
 pm_to_blib$
 test_dir
 \.svn/
+^(.*/)?MYMETA\..*$
@@ -0,0 +1,41 @@
+{
+   "abstract" : "Determine utility paths relative to version controlled directories",
+   "author" : [
+      "Wilson Snyder <wsnyder@wsnyder.org>"
+   ],
+   "dynamic_config" : 1,
+   "generated_by" : "ExtUtils::MakeMaker version 6.62, CPAN::Meta::Converter version 2.112150",
+   "license" : [
+      "unknown"
+   ],
+   "meta-spec" : {
+      "url" : "http://search.cpan.org/perldoc?CPAN::Meta::Spec",
+      "version" : "2"
+   },
+   "name" : "Dir-Project",
+   "no_index" : {
+      "directory" : [
+         "t",
+         "inc"
+      ]
+   },
+   "prereqs" : {
+      "build" : {
+         "requires" : {
+            "ExtUtils::MakeMaker" : 0
+         }
+      },
+      "configure" : {
+         "requires" : {
+            "ExtUtils::MakeMaker" : 0
+         }
+      },
+      "runtime" : {
+         "requires" : {
+            "Pod::Usage" : 0
+         }
+      }
+   },
+   "release_status" : "stable",
+   "version" : "3.025"
+}
@@ -1,14 +1,22 @@
---- #YAML:1.0
-name:                Dir-Project
-version:             3.024
-abstract:            Determine utility paths relative to version controlled directories
-license:             ~
-author:              
-    - Wilson Snyder <wsnyder@wsnyder.org>
-generated_by:        ExtUtils::MakeMaker version 6.42
-distribution_type:   module
-requires:     
-    Pod::Usage:                    0
+---
+abstract: 'Determine utility paths relative to version controlled directories'
+author:
+  - 'Wilson Snyder <wsnyder@wsnyder.org>'
+build_requires:
+  ExtUtils::MakeMaker: 0
+configure_requires:
+  ExtUtils::MakeMaker: 0
+dynamic_config: 1
+generated_by: 'ExtUtils::MakeMaker version 6.62, CPAN::Meta::Converter version 2.112150'
+license: unknown
 meta-spec:
-    url:     http://module-build.sourceforge.net/META-spec-v1.3.html
-    version: 1.3
+  url: http://module-build.sourceforge.net/META-spec-v1.4.html
+  version: 1.4
+name: Dir-Project
+no_index:
+  directory:
+    - t
+    - inc
+requires:
+  Pod::Usage: 0
+version: 3.025
@@ -1,6 +1,6 @@
 # DESCRIPTION: Perl ExtUtils: Type 'perl Makefile.PL' to create a Makefile for this package
 #
-# Copyright 2001-2012 by Wilson Snyder.  This program is free software;
+# Copyright 2001-2014 by Wilson Snyder.  This program is free software;
 # you can redistribute it and/or modify it under the terms of either the GNU
 # Lesser General Public License Version 3 or the Perl Artistic License Version 2.0.
 
@@ -22,7 +22,7 @@ use vars qw($VERSION $Debug $Project %Env_Vars);
 ######################################################################
 #### Configuration Section
 
-$VERSION = '3.024';
+$VERSION = '3.025';
 
 # List of all environment variables we might generate
 %Env_Vars = (
@@ -524,7 +524,7 @@ Dir-Project is part of the L<http://www.veripool.org/> free EDA software
 tool suite.  The latest version is available from CPAN and from
 L<http://www.veripool.org/>.
 
-Copyright 2001-2012 by Wilson Snyder.  This package is free software; you
+Copyright 2001-2014 by Wilson Snyder.  This package is free software; you
 can redistribute it and/or modify it under the terms of either the GNU
 Lesser General Public License Version 3 or the Perl Artistic License Version 2.0.
 
@@ -192,7 +192,7 @@ DISTRIBUTION
     tool suite. The latest version is available from CPAN and from
     <http://www.veripool.org/>.
 
-    Copyright 2001-2012 by Wilson Snyder. This package is free software; you
+    Copyright 2001-2014 by Wilson Snyder. This package is free software; you
     can redistribute it and/or modify it under the terms of either the GNU
     Lesser General Public License Version 3 or the Perl Artistic License
     Version 2.0.
@@ -20,7 +20,7 @@
 ;; tool suite.  The latest version is available from CPAN and from
 ;; http://www.veripool.org/.
 ;;
-;; Copyright 2001-2012 by Wilson Snyder.  This package is free software;
+;; Copyright 2001-2014 by Wilson Snyder.  This package is free software;
 ;; you can redistribute it and/or modify it under the terms of either the
 ;; GNU Lesser General Public License Version 3 or the Perl Artistic License
 ;; Version 2.0.
@@ -12,7 +12,7 @@ use vars qw ($Debug $VERSION);
 ######################################################################
 # configuration
 
-$VERSION = '3.024';
+$VERSION = '3.025';
 
 ######################################################################
 # main
@@ -232,7 +232,7 @@ Dir-Project is part of the L<http://www.veripool.org/> free EDA software
 tool suite.  The latest version is available from CPAN and from
 L<http://www.veripool.org/>.
 
-Copyright 2001-2012 by Wilson Snyder.  This package is free software; you
+Copyright 2001-2014 by Wilson Snyder.  This package is free software; you
 can redistribute it and/or modify it under the terms of either the GNU
 Lesser General Public License Version 3 or the Perl Artistic License Version 2.0.
 
@@ -2,7 +2,6 @@
 # See copyright, etc in below POD section.
 ######################################################################
 
-@INC = ();
 use lib 'blib/lib';	# Debugging
 use Pod::Usage;
 use Getopt::Long;
@@ -14,7 +13,7 @@ use vars qw ($Debug $VERSION);
 ######################################################################
 # configuration
 
-$VERSION = '3.024';
+$VERSION = '3.025';
 
 ######################################################################
 # main
@@ -125,7 +124,7 @@ Dir-Project is part of the L<http://www.veripool.org/> free EDA software
 tool suite.  The latest version is available from CPAN and from
 L<http://www.veripool.org/>.
 
-Copyright 2001-2012 by Wilson Snyder.  This package is free software; you
+Copyright 2001-2014 by Wilson Snyder.  This package is free software; you
 can redistribute it and/or modify it under the terms of either the GNU
 Lesser General Public License Version 3 or the Perl Artistic License Version 2.0.
 
@@ -1,7 +1,7 @@
 # DESCRIPTION: Dir::Project: Makefile include to define DIRPROJECT envvar
 ######################################################################
 #
-# Copyright 2001-2012 by Wilson Snyder.  This program is free software;
+# Copyright 2001-2014 by Wilson Snyder.  This program is free software;
 # you can redistribute it and/or modify it under the terms of either the GNU
 # Lesser General Public License Version 3 or the Perl Artistic License Version 2.0.
 #
@@ -1,7 +1,7 @@
 #!/usr/bin/perl -w
 # DESCRIPTION: Perl ExtUtils: Type 'make test' to test this package
 #
-# Copyright 2000-2012 by Wilson Snyder.  This program is free software;
+# Copyright 2000-2014 by Wilson Snyder.  This program is free software;
 # you can redistribute it and/or modify it under the terms of either the GNU
 # Lesser General Public License Version 3 or the Perl Artistic License Version 2.0.
 
@@ -1,7 +1,7 @@
 #!/usr/bin/perl -w
 # DESCRIPTION: Perl ExtUtils: Type 'make test' to test this package
 #
-# Copyright 2007-2012 by Wilson Snyder.  This program is free software;
+# Copyright 2007-2014 by Wilson Snyder.  This program is free software;
 # you can redistribute it and/or modify it under the terms of either the GNU
 # Lesser General Public License Version 3 or the Perl Artistic License Version 2.0.
 
@@ -2,7 +2,7 @@
 # $Id: 02_help.t 49328 2008-01-07 16:28:25Z wsnyder $
 # DESCRIPTION: Perl ExtUtils: Type 'make test' to test this package
 #
-# Copyright 2007-2012 by Wilson Snyder.  This program is free software;
+# Copyright 2007-2014 by Wilson Snyder.  This program is free software;
 # you can redistribute it and/or modify it under the terms of either the GNU
 # Lesser General Public License Version 3 or the Perl Artistic License Version 2.0.
 
@@ -23,7 +23,10 @@ foreach my $exe (@execs) {
 
     ok (-e $exe);
     my $help = `$PERL $exe --${pb}help 2>&1`;
-    ok ($help =~ /-version/);
+    my $ok = ($help =~ /-version/);
+    ok ($ok);
+    $ok or warn "%Warning: Help failed on: $exe: ".$help."\n";  # Dump so can see CPAN tester failure
+
     $help = `$PERL $exe --${pb}version 2>&1`;
     ok ($help =~ /Version/);
 }
@@ -2,7 +2,7 @@
 # $Id: 02_help.t 49328 2008-01-07 16:28:25Z wsnyder $
 # DESCRIPTION: Perl ExtUtils: Type 'make test' to test this package
 #
-# Copyright 2007-2012 by Wilson Snyder.  This program is free software;
+# Copyright 2007-2014 by Wilson Snyder.  This program is free software;
 # you can redistribute it and/or modify it under the terms of either the GNU
 # Lesser General Public License Version 3 or the Perl Artistic License Version 2.0.
 
@@ -1,7 +1,7 @@
 #!/usr/bin/perl -w
 # DESCRIPTION: Perl ExtUtils: Type 'make test' to test this package
 #
-# Copyright 2001-2012 by Wilson Snyder.  This program is free software;
+# Copyright 2001-2014 by Wilson Snyder.  This program is free software;
 # you can redistribute it and/or modify it under the terms of either the GNU
 # Lesser General Public License Version 3 or the Perl Artistic License Version 2.0.
 
@@ -1,7 +1,7 @@
 #!/usr/bin/perl -w
 # DESCRIPTION: Perl ExtUtils: Type 'make test' to test this package
 #
-# Copyright 2006-2012 by Wilson Snyder.  This program is free software;
+# Copyright 2006-2014 by Wilson Snyder.  This program is free software;
 # you can redistribute it and/or modify it under the terms of either the GNU
 # Lesser General Public License Version 3 or the Perl Artistic License Version 2.0.
 
@@ -1,7 +1,7 @@
 #!/usr/bin/perl -w
 # DESCRIPTION: Perl ExtUtils: Test called via 'project_bin'
 #
-# Copyright 2006-2012 by Wilson Snyder.  This program is free software;
+# Copyright 2006-2014 by Wilson Snyder.  This program is free software;
 # you can redistribute it and/or modify it under the terms of either the GNU
 # Lesser General Public License Version 3 or the Perl Artistic License Version 2.0.
 
@@ -1,7 +1,7 @@
 #!/usr/bin/perl -w
 # DESCRIPTION: Perl ExtUtils: Type 'make test' to test this package
 #
-# Copyright 2006-2012 by Wilson Snyder.  This program is free software;
+# Copyright 2006-2014 by Wilson Snyder.  This program is free software;
 # you can redistribute it and/or modify it under the terms of either the GNU
 # Lesser General Public License Version 3 or the Perl Artistic License Version 2.0.
 
@@ -1,7 +1,7 @@
 #!/usr/bin/perl -w
 # DESCRIPTION: Example makefile for project_dir.mk
 #
-# Copyright 2006-2012 by Wilson Snyder.  This program is free software;
+# Copyright 2006-2014 by Wilson Snyder.  This program is free software;
 # you can redistribute it and/or modify it under the terms of either the GNU
 # Lesser General Public License Version 3 or the Perl Artistic License Version 2.0.
 
@@ -1,7 +1,7 @@
 #!/usr/bin/perl -w
 # DESCRIPTION: Perl ExtUtils: Type 'make test' to test this package
 #
-# Copyright 2006-2012 by Wilson Snyder.  This program is free software;
+# Copyright 2006-2014 by Wilson Snyder.  This program is free software;
 # you can redistribute it and/or modify it under the terms of either the GNU
 # Lesser General Public License Version 3 or the Perl Artistic License Version 2.0.
 
@@ -1,6 +1,6 @@
 # DESCRIPTION: Perl ExtUtils: Common routines required by package tests
 #
-# Copyright 2000-2012 by Wilson Snyder.  This program is free software;
+# Copyright 2000-2014 by Wilson Snyder.  This program is free software;
 # you can redistribute it and/or modify it under the terms of either the GNU
 # Lesser General Public License Version 3 or the Perl Artistic License Version 2.0.