The Perl Toolchain Summit needs more sponsors. If your company depends on Perl, please support this very important event.
module bug278 (
   iow, iw, ow);
   inout iow;
   input iw;
   output ow;
endmodule

module foo (
   abcconst, def, noconnect, x, y);
   input [2:0] abcconst;
   input [31:0] def;
   input signed [3:0] noconnect;
   input x;
   input y;
endmodule

module foo2 (
   x, y, z);
   output reg x;
   input y;
   input z;
endmodule

module pinorder4 (
   );
   wire [31:0] IPCD_const = 32'h1;
   wire [7:0] a_i;
   wire b_i;
   wire d_o;
   foo foo1 (.abcconst(3'h0), .def(IPCD_const), .noconnect(), .x(a_i), .y(b_i));
   foo2 foo2 (.x(b_i), .y(d_o), .z(a_i[0]));
   foo foo3 (.abcconst(3'h0), .def(IPCD_const), .x(a_i), .y(b_i));
   assign a_i = 0;
   assign b_i = 0;
endmodule