The Perl Toolchain Summit needs more sponsors. If your company depends on Perl, please support this very important event.
verilog/v_hier_subprim.v:001: PREPROC '`line 1 "verilog/v_hier_subprim.v" 1
'
verilog/v_hier_subprim.v:008: unreadback ' 
 
 
 

 

'
verilog/v_hier_subprim.v:008: KEYWORD 'primitive'
verilog/v_hier_subprim.v:008: unreadback ' '
verilog/v_hier_subprim.v:008: SYMBOL 'v_hier_prim'
verilog/v_hier_subprim.v:008: unreadback ' '
verilog/v_hier_subprim.v:008: OPERATOR '('
verilog/v_hier_subprim.v:010: unreadback ' 
    
   '
verilog/v_hier_subprim.v:010: SYMBOL 'q'
verilog/v_hier_subprim.v:010: OPERATOR ','
verilog/v_hier_subprim.v:012: unreadback '
    
   '
verilog/v_hier_subprim.v:012: SYMBOL 'a'
verilog/v_hier_subprim.v:013: unreadback '
   '
verilog/v_hier_subprim.v:013: OPERATOR ')'
verilog/v_hier_subprim.v:013: OPERATOR ';'
verilog/v_hier_subprim.v:014: unreadback '
   '
verilog/v_hier_subprim.v:014: KEYWORD 'output'
verilog/v_hier_subprim.v:014: unreadback ' '
verilog/v_hier_subprim.v:014: SYMBOL 'q'
verilog/v_hier_subprim.v:014: OPERATOR ';'
verilog/v_hier_subprim.v:015: unreadback '
   '
verilog/v_hier_subprim.v:015: KEYWORD 'input'
verilog/v_hier_subprim.v:015: unreadback ' '
verilog/v_hier_subprim.v:015: SYMBOL 'a'
verilog/v_hier_subprim.v:015: OPERATOR ';'
verilog/v_hier_subprim.v:017: unreadback '

   '
verilog/v_hier_subprim.v:017: KEYWORD 'table'
verilog/v_hier_subprim.v:018: unreadback '
      '
verilog/v_hier_subprim.v:018: NUMBER '0'
verilog/v_hier_subprim.v:018: unreadback ' '
verilog/v_hier_subprim.v:018: OPERATOR ':'
verilog/v_hier_subprim.v:018: unreadback ' '
verilog/v_hier_subprim.v:018: NUMBER '1'
verilog/v_hier_subprim.v:018: OPERATOR ';'
verilog/v_hier_subprim.v:019: unreadback '
      '
verilog/v_hier_subprim.v:019: NUMBER '1'
verilog/v_hier_subprim.v:019: unreadback ' '
verilog/v_hier_subprim.v:019: OPERATOR ':'
verilog/v_hier_subprim.v:019: unreadback ' '
verilog/v_hier_subprim.v:019: NUMBER '0'
verilog/v_hier_subprim.v:019: OPERATOR ';'
verilog/v_hier_subprim.v:020: unreadback '
   '
verilog/v_hier_subprim.v:020: KEYWORD 'endtable'
verilog/v_hier_subprim.v:022: unreadback '

'
verilog/v_hier_subprim.v:022: KEYWORD 'endprimitive'
verilog/v_hier_subprim.v:024: unreadback '

'
verilog/v_hier_subprim.v:024: PREPROC '`celldefine'
verilog/v_hier_subprim.v:025: unreadback '
'
verilog/v_hier_subprim.v:025: KEYWORD 'module'
verilog/v_hier_subprim.v:025: unreadback ' '
verilog/v_hier_subprim.v:025: SYMBOL 'bug27070'
verilog/v_hier_subprim.v:025: OPERATOR '('
verilog/v_hier_subprim.v:025: OPERATOR ')'
verilog/v_hier_subprim.v:025: OPERATOR ';'
verilog/v_hier_subprim.v:027: unreadback '
   
  '
verilog/v_hier_subprim.v:027: KEYWORD 'parameter'
verilog/v_hier_subprim.v:027: unreadback ' '
verilog/v_hier_subprim.v:027: SYMBOL 'TAP'
verilog/v_hier_subprim.v:027: unreadback ' '
verilog/v_hier_subprim.v:027: OPERATOR '='
verilog/v_hier_subprim.v:027: unreadback ' '
verilog/v_hier_subprim.v:027: NUMBER '4'b1001'
verilog/v_hier_subprim.v:027: OPERATOR ';'
verilog/v_hier_subprim.v:028: unreadback '
'
verilog/v_hier_subprim.v:028: KEYWORD 'endmodule'
verilog/v_hier_subprim.v:029: unreadback '
'
verilog/v_hier_subprim.v:029: PREPROC '`endcelldefine'
verilog/v_hier_subprim.v:031: unreadback '

'
verilog/v_hier_subprim.v:031: PREPROC '`celldefine'
verilog/v_hier_subprim.v:032: unreadback '
'
verilog/v_hier_subprim.v:032: KEYWORD 'module'
verilog/v_hier_subprim.v:032: unreadback ' '
verilog/v_hier_subprim.v:032: SYMBOL 'bug893'
verilog/v_hier_subprim.v:032: OPERATOR '('
verilog/v_hier_subprim.v:032: OPERATOR ')'
verilog/v_hier_subprim.v:032: OPERATOR ';'
verilog/v_hier_subprim.v:033: unreadback '
   '
verilog/v_hier_subprim.v:033: KEYWORD 'reg'
verilog/v_hier_subprim.v:033: unreadback ' '
verilog/v_hier_subprim.v:033: SYMBOL 'r'
verilog/v_hier_subprim.v:033: OPERATOR ';'
verilog/v_hier_subprim.v:034: unreadback '
   '
verilog/v_hier_subprim.v:034: KEYWORD 'initial'
verilog/v_hier_subprim.v:034: unreadback ' '
verilog/v_hier_subprim.v:034: SYMBOL 'r'
verilog/v_hier_subprim.v:034: unreadback ' '
verilog/v_hier_subprim.v:034: OPERATOR '<='
verilog/v_hier_subprim.v:034: OPERATOR '#'
verilog/v_hier_subprim.v:034: NUMBER '1'
verilog/v_hier_subprim.v:034: unreadback ' '
verilog/v_hier_subprim.v:034: NUMBER ''0'
verilog/v_hier_subprim.v:034: OPERATOR ';'
verilog/v_hier_subprim.v:035: unreadback '
'
verilog/v_hier_subprim.v:035: KEYWORD 'endmodule'
verilog/v_hier_subprim.v:036: unreadback '
'
verilog/v_hier_subprim.v:036: PREPROC '`endcelldefine'
verilog/v_hier_subprim.v:038: unreadback '

'
verilog/v_hier_subprim.v:038: PREPROC '`line 38 "verilog/v_hier_subprim.v" 2
'
verilog/v_hier_subprim.v:038: ENDPARSE ''
verilog/v_hier_sub.v:001: PREPROC '`line 1 "verilog/v_hier_sub.v" 1
'
verilog/v_hier_sub.v:006: unreadback ' 
 
 
 

'
verilog/v_hier_sub.v:006: KEYWORD 'module'
verilog/v_hier_sub.v:006: unreadback ' '
verilog/v_hier_sub.v:006: SYMBOL 'v_hier_sub'
verilog/v_hier_sub.v:006: unreadback ' '
verilog/v_hier_sub.v:006: OPERATOR '('
verilog/v_hier_sub.v:007: unreadback ' 
   '
verilog/v_hier_sub.v:007: KEYWORD 'input'
verilog/v_hier_sub.v:007: unreadback ' '
verilog/v_hier_sub.v:007: SYMBOL 'clk'
verilog/v_hier_sub.v:007: OPERATOR ','
verilog/v_hier_sub.v:008: unreadback '
   '
verilog/v_hier_sub.v:008: KEYWORD 'input'
verilog/v_hier_sub.v:008: unreadback ' '
verilog/v_hier_sub.v:008: OPERATOR '['
verilog/v_hier_sub.v:008: NUMBER '3'
verilog/v_hier_sub.v:008: OPERATOR ':'
verilog/v_hier_sub.v:008: NUMBER '0'
verilog/v_hier_sub.v:008: OPERATOR ']'
verilog/v_hier_sub.v:008: unreadback ' '
verilog/v_hier_sub.v:008: SYMBOL 'avec'
verilog/v_hier_sub.v:008: OPERATOR ','
verilog/v_hier_sub.v:009: unreadback '	 
   '
verilog/v_hier_sub.v:009: KEYWORD 'output'
verilog/v_hier_sub.v:009: unreadback ' '
verilog/v_hier_sub.v:009: OPERATOR '['
verilog/v_hier_sub.v:009: NUMBER '3'
verilog/v_hier_sub.v:009: OPERATOR ':'
verilog/v_hier_sub.v:009: NUMBER '0'
verilog/v_hier_sub.v:009: OPERATOR ']'
verilog/v_hier_sub.v:009: unreadback ' '
verilog/v_hier_sub.v:009: SYMBOL 'qvec'
verilog/v_hier_sub.v:010: unreadback '	 
   '
verilog/v_hier_sub.v:010: OPERATOR ')'
verilog/v_hier_sub.v:010: OPERATOR ';'
verilog/v_hier_sub.v:012: unreadback '

   '
verilog/v_hier_sub.v:012: KEYWORD 'parameter'
verilog/v_hier_sub.v:012: unreadback ' '
verilog/v_hier_sub.v:012: SYMBOL 'FROM_DEFPARAM'
verilog/v_hier_sub.v:012: unreadback ' '
verilog/v_hier_sub.v:012: OPERATOR '='
verilog/v_hier_sub.v:012: unreadback ' '
verilog/v_hier_sub.v:012: NUMBER '1'
verilog/v_hier_sub.v:012: OPERATOR ';'
verilog/v_hier_sub.v:014: unreadback '

   '
verilog/v_hier_sub.v:014: KEYWORD 'supply1'
verilog/v_hier_sub.v:014: unreadback ' 	'
verilog/v_hier_sub.v:014: SYMBOL 'a1'
verilog/v_hier_sub.v:014: OPERATOR ';'
verilog/v_hier_sub.v:016: unreadback '

   '
verilog/v_hier_sub.v:016: SYMBOL 'v_hier_subsub'
verilog/v_hier_sub.v:016: unreadback ' '
verilog/v_hier_sub.v:016: OPERATOR '#'
verilog/v_hier_sub.v:016: OPERATOR '('
verilog/v_hier_sub.v:017: unreadback '
		   '
verilog/v_hier_sub.v:017: OPERATOR '.'
verilog/v_hier_sub.v:017: SYMBOL 'IGNORED'
verilog/v_hier_sub.v:017: OPERATOR '('
verilog/v_hier_sub.v:017: NUMBER ''sh20'
verilog/v_hier_sub.v:017: OPERATOR ')'
verilog/v_hier_sub.v:018: unreadback '
		   '
verilog/v_hier_sub.v:018: OPERATOR ')'
verilog/v_hier_sub.v:019: unreadback '
     '
verilog/v_hier_sub.v:019: SYMBOL 'subsub0'
verilog/v_hier_sub.v:019: unreadback ' '
verilog/v_hier_sub.v:019: OPERATOR '('
verilog/v_hier_sub.v:021: unreadback '
	       
	      '
verilog/v_hier_sub.v:021: OPERATOR '.'
verilog/v_hier_sub.v:021: SYMBOL 'q'
verilog/v_hier_sub.v:021: unreadback '		'
verilog/v_hier_sub.v:021: OPERATOR '('
verilog/v_hier_sub.v:021: SYMBOL 'qvec'
verilog/v_hier_sub.v:021: OPERATOR '['
verilog/v_hier_sub.v:021: NUMBER '0'
verilog/v_hier_sub.v:021: OPERATOR ']'
verilog/v_hier_sub.v:021: OPERATOR ')'
verilog/v_hier_sub.v:021: OPERATOR ','
verilog/v_hier_sub.v:023: unreadback '
	       
	      '
verilog/v_hier_sub.v:023: OPERATOR '.'
verilog/v_hier_sub.v:023: SYMBOL 'a'
verilog/v_hier_sub.v:023: unreadback '		'
verilog/v_hier_sub.v:023: OPERATOR '('
verilog/v_hier_sub.v:023: SYMBOL 'a1'
verilog/v_hier_sub.v:023: OPERATOR ')'
verilog/v_hier_sub.v:023: OPERATOR ')'
verilog/v_hier_sub.v:023: OPERATOR ';'
verilog/v_hier_sub.v:026: unreadback '   


   '
verilog/v_hier_sub.v:026: KEYWORD 'generate'
verilog/v_hier_sub.v:027: unreadback '
      '
verilog/v_hier_sub.v:027: KEYWORD 'genvar'
verilog/v_hier_sub.v:027: unreadback ' 	'
verilog/v_hier_sub.v:027: SYMBOL 'K'
verilog/v_hier_sub.v:027: OPERATOR ','
verilog/v_hier_sub.v:027: unreadback ' '
verilog/v_hier_sub.v:027: SYMBOL 'K_UNUSED'
verilog/v_hier_sub.v:027: OPERATOR ';'
verilog/v_hier_sub.v:028: unreadback '
      '
verilog/v_hier_sub.v:028: KEYWORD 'for'
verilog/v_hier_sub.v:028: unreadback ' '
verilog/v_hier_sub.v:028: OPERATOR '('
verilog/v_hier_sub.v:028: SYMBOL 'K'
verilog/v_hier_sub.v:028: OPERATOR '='
verilog/v_hier_sub.v:028: NUMBER '0'
verilog/v_hier_sub.v:028: OPERATOR ';'
verilog/v_hier_sub.v:028: unreadback ' '
verilog/v_hier_sub.v:028: SYMBOL 'K'
verilog/v_hier_sub.v:028: OPERATOR '<'
verilog/v_hier_sub.v:028: NUMBER '1'
verilog/v_hier_sub.v:028: OPERATOR ';'
verilog/v_hier_sub.v:028: unreadback ' '
verilog/v_hier_sub.v:028: SYMBOL 'K'
verilog/v_hier_sub.v:028: OPERATOR '='
verilog/v_hier_sub.v:028: SYMBOL 'K'
verilog/v_hier_sub.v:028: OPERATOR '+'
verilog/v_hier_sub.v:028: NUMBER '1'
verilog/v_hier_sub.v:028: OPERATOR ')'
verilog/v_hier_sub.v:028: unreadback ' '
verilog/v_hier_sub.v:028: KEYWORD 'begin'
verilog/v_hier_sub.v:028: unreadback ' '
verilog/v_hier_sub.v:028: OPERATOR ':'
verilog/v_hier_sub.v:028: unreadback ' '
verilog/v_hier_sub.v:028: SYMBOL 'genloop'
verilog/v_hier_sub.v:030: unreadback '
	  
	 '
verilog/v_hier_sub.v:030: SYMBOL 'v_hier_subsub'
verilog/v_hier_sub.v:030: unreadback ' '
verilog/v_hier_sub.v:030: SYMBOL 'subsub2'
verilog/v_hier_sub.v:030: unreadback ' '
verilog/v_hier_sub.v:030: OPERATOR '('
verilog/v_hier_sub.v:030: SYMBOL 'qvec'
verilog/v_hier_sub.v:030: OPERATOR '['
verilog/v_hier_sub.v:030: NUMBER '2'
verilog/v_hier_sub.v:030: OPERATOR ']'
verilog/v_hier_sub.v:030: OPERATOR ','
verilog/v_hier_sub.v:030: unreadback ' '
verilog/v_hier_sub.v:030: NUMBER '1'b0'
verilog/v_hier_sub.v:030: OPERATOR ')'
verilog/v_hier_sub.v:030: OPERATOR ';'
verilog/v_hier_sub.v:031: unreadback '
      '
verilog/v_hier_sub.v:031: KEYWORD 'end'
verilog/v_hier_sub.v:032: unreadback '
   '
verilog/v_hier_sub.v:032: KEYWORD 'endgenerate'
verilog/v_hier_sub.v:034: unreadback '

   '
verilog/v_hier_sub.v:034: KEYWORD 'function'
verilog/v_hier_sub.v:034: unreadback ' '
verilog/v_hier_sub.v:034: SYMBOL 'foo'
verilog/v_hier_sub.v:034: OPERATOR ';'
verilog/v_hier_sub.v:035: unreadback '
      '
verilog/v_hier_sub.v:035: ATTRIBUTE '(* attribute *)'
verilog/v_hier_sub.v:037: unreadback '
       
      '
verilog/v_hier_sub.v:037: KEYWORD 'input'
verilog/v_hier_sub.v:037: unreadback ' '
verilog/v_hier_sub.v:037: SYMBOL 'not_part_of_pinlist'
verilog/v_hier_sub.v:037: OPERATOR ';'
verilog/v_hier_sub.v:038: unreadback '
      '
verilog/v_hier_sub.v:038: SYMBOL 'foo'
verilog/v_hier_sub.v:038: unreadback ' '
verilog/v_hier_sub.v:038: OPERATOR '='
verilog/v_hier_sub.v:038: unreadback ' '
verilog/v_hier_sub.v:038: SYMBOL 'not_part_of_pinlist'
verilog/v_hier_sub.v:038: OPERATOR ';'
verilog/v_hier_sub.v:039: unreadback '
   '
verilog/v_hier_sub.v:039: KEYWORD 'endfunction'
verilog/v_hier_sub.v:041: unreadback '

'
verilog/v_hier_sub.v:041: KEYWORD 'endmodule'
verilog/v_hier_sub.v:043: unreadback '

'
verilog/v_hier_sub.v:043: PREPROC '`line 43 "verilog/v_hier_sub.v" 2
'
verilog/v_hier_sub.v:043: ENDPARSE ''
verilog/example.v:001: PREPROC '`line 1 "verilog/example.v" 1
'
verilog/example.v:005: unreadback ' 
 
 

'
verilog/example.v:005: PREPROC '`timescale 1ns/1ns'
verilog/example.v:007: unreadback '

'
verilog/example.v:007: KEYWORD 'module'
verilog/example.v:007: unreadback ' '
verilog/example.v:007: SYMBOL 'example'
verilog/example.v:007: OPERATOR ';'
verilog/example.v:009: unreadback '

   '
verilog/example.v:009: SYMBOL 'pli'
verilog/example.v:009: unreadback ' '
verilog/example.v:009: SYMBOL 'pli'
verilog/example.v:009: unreadback ' '
verilog/example.v:009: OPERATOR '('
verilog/example.v:009: OPERATOR ')'
verilog/example.v:009: OPERATOR ';'
verilog/example.v:011: unreadback '	 

   '
verilog/example.v:011: KEYWORD 'integer'
verilog/example.v:011: unreadback ' '
verilog/example.v:011: SYMBOL 'i'
verilog/example.v:011: OPERATOR ';'
verilog/example.v:015: unreadback '

 

   '
verilog/example.v:015: KEYWORD 'reg'
verilog/example.v:015: unreadback ' '
verilog/example.v:015: SYMBOL '\escaped[10] '
verilog/example.v:015: OPERATOR ';'
verilog/example.v:017: unreadback '

   '
verilog/example.v:017: KEYWORD 'initial'
verilog/example.v:017: unreadback ' '
verilog/example.v:017: KEYWORD 'begin'
verilog/example.v:018: unreadback '
      '
verilog/example.v:018: SYMBOL '$uinfo'
verilog/example.v:018: unreadback ' '
verilog/example.v:018: OPERATOR '('
verilog/example.v:018: NUMBER '0'
verilog/example.v:018: OPERATOR ','
verilog/example.v:018: unreadback ' '
verilog/example.v:018: STRING '"Welcome to a VPASSERTed file\n"'
verilog/example.v:018: OPERATOR ')'
verilog/example.v:018: OPERATOR ';'
verilog/example.v:020: unreadback '
       
      '
verilog/example.v:020: SYMBOL '$uinfo'
verilog/example.v:020: unreadback ' '
verilog/example.v:020: OPERATOR '('
verilog/example.v:020: NUMBER '1'
verilog/example.v:020: OPERATOR ','
verilog/example.v:020: unreadback ' '
verilog/example.v:020: STRING '"Printed only at debug level %0d\n"'
verilog/example.v:020: OPERATOR ','
verilog/example.v:020: NUMBER '1'
verilog/example.v:020: OPERATOR ')'
verilog/example.v:020: OPERATOR ';'
verilog/example.v:021: unreadback '
      '
verilog/example.v:021: SYMBOL '$uinfo'
verilog/example.v:021: unreadback ' '
verilog/example.v:021: OPERATOR '('
verilog/example.v:021: NUMBER '9'
verilog/example.v:021: OPERATOR ','
verilog/example.v:021: unreadback ' '
verilog/example.v:021: STRING '"Printed only at debug level %0d\n"'
verilog/example.v:021: OPERATOR ','
verilog/example.v:021: NUMBER '9'
verilog/example.v:021: OPERATOR ')'
verilog/example.v:021: OPERATOR ';'
verilog/example.v:023: unreadback '
       
      '
verilog/example.v:023: SYMBOL '\escaped[10] '
verilog/example.v:023: OPERATOR '='
verilog/example.v:023: unreadback ' '
verilog/example.v:023: NUMBER '1'b1'
verilog/example.v:023: OPERATOR ';'
verilog/example.v:024: unreadback '
      '
verilog/example.v:024: SYMBOL '$uassert'
verilog/example.v:024: unreadback ' '
verilog/example.v:024: OPERATOR '('
verilog/example.v:024: SYMBOL '\escaped[10] '
verilog/example.v:024: OPERATOR ','
verilog/example.v:024: unreadback ' '
verilog/example.v:024: STRING '"Escaped not 1\n"'
verilog/example.v:024: OPERATOR ')'
verilog/example.v:024: OPERATOR ';'
verilog/example.v:025: unreadback '
      '
verilog/example.v:025: SYMBOL '$uassert_info'
verilog/example.v:025: unreadback ' '
verilog/example.v:025: OPERATOR '('
verilog/example.v:025: SYMBOL '\escaped[10] '
verilog/example.v:025: OPERATOR ','
verilog/example.v:025: unreadback ' '
verilog/example.v:025: STRING '"Escaped not 1\n"'
verilog/example.v:025: OPERATOR ')'
verilog/example.v:025: OPERATOR ';'
verilog/example.v:027: unreadback '
       
      '
verilog/example.v:027: SYMBOL 'i'
verilog/example.v:027: OPERATOR '='
verilog/example.v:027: NUMBER '0'
verilog/example.v:027: OPERATOR ';'
verilog/example.v:028: unreadback '
      '
verilog/example.v:028: SYMBOL '$uassert'
verilog/example.v:028: unreadback ' '
verilog/example.v:028: OPERATOR '('
verilog/example.v:028: NUMBER '1'
verilog/example.v:028: OPERATOR '=='
verilog/example.v:028: NUMBER '1'
verilog/example.v:028: OPERATOR ','
verilog/example.v:028: unreadback ' '
verilog/example.v:028: STRING '"Why doesn't 1==1??\n"'
verilog/example.v:028: OPERATOR ')'
verilog/example.v:028: OPERATOR ';'
verilog/example.v:029: unreadback '
      '
verilog/example.v:029: SYMBOL '$uassert'
verilog/example.v:029: unreadback ' '
verilog/example.v:029: OPERATOR '('
verilog/example.v:029: NUMBER '10'
verilog/example.v:029: OPERATOR '=='
verilog/example.v:029: NUMBER '10'
verilog/example.v:029: OPERATOR ','
verilog/example.v:029: unreadback ' '
verilog/example.v:029: STRING '"Why doesn't 10==10??\n"'
verilog/example.v:029: OPERATOR ')'
verilog/example.v:029: OPERATOR ';'
verilog/example.v:030: unreadback '
      '
verilog/example.v:030: SYMBOL '$uassert'
verilog/example.v:030: unreadback ' '
verilog/example.v:030: OPERATOR '('
verilog/example.v:030: unreadback '
'
verilog/example.v:030: PREPROC '`line 30 "verilog/example.v" 0
'
verilog/example.v:030: unreadback ' '
verilog/example.v:030: NUMBER '1'
verilog/example.v:030: OPERATOR '=='
verilog/example.v:030: NUMBER '1'
verilog/example.v:030: OPERATOR ','
verilog/example.v:033: unreadback '

	        
	       
'
verilog/example.v:033: PREPROC '`line 33 "verilog/example.v" 0
'
verilog/example.v:033: unreadback ' '
verilog/example.v:033: STRING '"Why doesn't 1==1??\n"'
verilog/example.v:036: unreadback '
 

	       '
verilog/example.v:036: OPERATOR ')'
verilog/example.v:036: OPERATOR ';'
verilog/example.v:038: unreadback '
       
      '
verilog/example.v:038: SYMBOL 'i'
verilog/example.v:038: OPERATOR '='
verilog/example.v:038: NUMBER '3'b100'
verilog/example.v:038: OPERATOR ';'
verilog/example.v:038: unreadback '  '
verilog/example.v:038: SYMBOL '$uassert_amone'
verilog/example.v:038: OPERATOR '('
verilog/example.v:038: SYMBOL 'i'
verilog/example.v:038: unreadback ' '
verilog/example.v:038: OPERATOR '['
verilog/example.v:038: NUMBER '2'
verilog/example.v:038: OPERATOR ':'
verilog/example.v:038: NUMBER '0'
verilog/example.v:038: OPERATOR ']'
verilog/example.v:038: OPERATOR ','
verilog/example.v:038: unreadback ' '
verilog/example.v:038: STRING '"amone ok\n"'
verilog/example.v:038: OPERATOR ')'
verilog/example.v:038: OPERATOR ';'
verilog/example.v:039: unreadback '
      '
verilog/example.v:039: SYMBOL 'i'
verilog/example.v:039: OPERATOR '='
verilog/example.v:039: NUMBER '3'b010'
verilog/example.v:039: OPERATOR ';'
verilog/example.v:039: unreadback '  '
verilog/example.v:039: SYMBOL '$uassert_amone'
verilog/example.v:039: OPERATOR '('
verilog/example.v:039: SYMBOL 'i'
verilog/example.v:039: OPERATOR '['
verilog/example.v:039: NUMBER '2'
verilog/example.v:039: OPERATOR ':'
verilog/example.v:039: NUMBER '0'
verilog/example.v:039: OPERATOR ']'
verilog/example.v:039: OPERATOR ','
verilog/example.v:039: unreadback ' '
verilog/example.v:039: STRING '"amone ok\n"'
verilog/example.v:039: OPERATOR ')'
verilog/example.v:039: OPERATOR ';'
verilog/example.v:040: unreadback '
      '
verilog/example.v:040: SYMBOL 'i'
verilog/example.v:040: OPERATOR '='
verilog/example.v:040: NUMBER '3'b001'
verilog/example.v:040: OPERATOR ';'
verilog/example.v:040: unreadback '  '
verilog/example.v:040: SYMBOL '$uassert_amone'
verilog/example.v:040: OPERATOR '('
verilog/example.v:040: SYMBOL 'i'
verilog/example.v:040: OPERATOR '['
verilog/example.v:040: NUMBER '2'
verilog/example.v:040: OPERATOR ':'
verilog/example.v:040: NUMBER '0'
verilog/example.v:040: OPERATOR ']'
verilog/example.v:040: OPERATOR ','
verilog/example.v:040: unreadback ' '
verilog/example.v:040: STRING '"amone ok\n"'
verilog/example.v:040: OPERATOR ')'
verilog/example.v:040: OPERATOR ';'
verilog/example.v:041: unreadback '
      '
verilog/example.v:041: SYMBOL 'i'
verilog/example.v:041: OPERATOR '='
verilog/example.v:041: NUMBER '3'b000'
verilog/example.v:041: OPERATOR ';'
verilog/example.v:041: unreadback '  '
verilog/example.v:041: SYMBOL '$uassert_amone'
verilog/example.v:041: OPERATOR '('
verilog/example.v:041: SYMBOL 'i'
verilog/example.v:041: OPERATOR '['
verilog/example.v:041: NUMBER '2'
verilog/example.v:041: OPERATOR ':'
verilog/example.v:041: NUMBER '0'
verilog/example.v:041: OPERATOR ']'
verilog/example.v:041: OPERATOR ','
verilog/example.v:041: unreadback ' '
verilog/example.v:041: STRING '"amone ok\n"'
verilog/example.v:041: OPERATOR ')'
verilog/example.v:041: OPERATOR ';'
verilog/example.v:045: unreadback '
       
       
       
      '
verilog/example.v:045: SYMBOL 'i'
verilog/example.v:045: OPERATOR '='
verilog/example.v:045: NUMBER '2'b10'
verilog/example.v:045: OPERATOR ';'
verilog/example.v:045: unreadback '  '
verilog/example.v:045: SYMBOL '$uassert_onehot'
verilog/example.v:045: OPERATOR '('
verilog/example.v:045: SYMBOL 'i'
verilog/example.v:045: OPERATOR '['
verilog/example.v:045: NUMBER '1'
verilog/example.v:045: OPERATOR ':'
verilog/example.v:045: NUMBER '0'
verilog/example.v:045: OPERATOR ']'
verilog/example.v:045: OPERATOR ','
verilog/example.v:045: unreadback ' '
verilog/example.v:045: STRING '"onehot ok\n"'
verilog/example.v:045: OPERATOR ')'
verilog/example.v:045: OPERATOR ';'
verilog/example.v:046: unreadback '
      '
verilog/example.v:046: SYMBOL 'i'
verilog/example.v:046: OPERATOR '='
verilog/example.v:046: NUMBER '2'b01'
verilog/example.v:046: OPERATOR ';'
verilog/example.v:046: unreadback '  '
verilog/example.v:046: SYMBOL '$uassert_onehot'
verilog/example.v:046: OPERATOR '('
verilog/example.v:046: SYMBOL 'i'
verilog/example.v:046: OPERATOR '['
verilog/example.v:046: NUMBER '1'
verilog/example.v:046: OPERATOR ':'
verilog/example.v:046: NUMBER '0'
verilog/example.v:046: OPERATOR ']'
verilog/example.v:046: OPERATOR ','
verilog/example.v:046: unreadback ' '
verilog/example.v:046: STRING '"onehot ok\n"'
verilog/example.v:046: OPERATOR ')'
verilog/example.v:046: OPERATOR ';'
verilog/example.v:047: unreadback '
      '
verilog/example.v:047: SYMBOL 'i'
verilog/example.v:047: OPERATOR '='
verilog/example.v:047: NUMBER '2'b10'
verilog/example.v:047: OPERATOR ';'
verilog/example.v:047: unreadback '  '
verilog/example.v:047: SYMBOL '$uassert_onehot'
verilog/example.v:047: OPERATOR '('
verilog/example.v:047: SYMBOL 'i'
verilog/example.v:047: OPERATOR '['
verilog/example.v:047: NUMBER '1'
verilog/example.v:047: OPERATOR ']'
verilog/example.v:047: OPERATOR ','
verilog/example.v:047: SYMBOL 'i'
verilog/example.v:047: OPERATOR '['
verilog/example.v:047: NUMBER '0'
verilog/example.v:047: OPERATOR ']'
verilog/example.v:047: OPERATOR ','
verilog/example.v:047: unreadback ' '
verilog/example.v:047: STRING '"onehot ok\n"'
verilog/example.v:047: OPERATOR ')'
verilog/example.v:047: OPERATOR ';'
verilog/example.v:048: unreadback '
      '
verilog/example.v:048: SYMBOL 'i'
verilog/example.v:048: OPERATOR '='
verilog/example.v:048: NUMBER '2'b10'
verilog/example.v:048: OPERATOR ';'
verilog/example.v:048: unreadback '  '
verilog/example.v:048: SYMBOL '$uassert_onehot'
verilog/example.v:048: OPERATOR '('
verilog/example.v:048: OPERATOR '{'
verilog/example.v:048: SYMBOL 'i'
verilog/example.v:048: OPERATOR '['
verilog/example.v:048: NUMBER '1'
verilog/example.v:048: OPERATOR ']'
verilog/example.v:048: OPERATOR ','
verilog/example.v:048: SYMBOL 'i'
verilog/example.v:048: OPERATOR '['
verilog/example.v:048: NUMBER '0'
verilog/example.v:048: OPERATOR ']'
verilog/example.v:048: OPERATOR '}'
verilog/example.v:048: OPERATOR ','
verilog/example.v:048: unreadback ' '
verilog/example.v:048: STRING '"onehot ok\n"'
verilog/example.v:048: OPERATOR ')'
verilog/example.v:048: OPERATOR ';'
verilog/example.v:051: unreadback '
       
       
   '
verilog/example.v:051: KEYWORD 'end'
verilog/example.v:054: unreadback '

    
   '
verilog/example.v:054: KEYWORD 'initial'
verilog/example.v:054: unreadback ' '
verilog/example.v:054: KEYWORD 'begin'
verilog/example.v:055: unreadback '
      '
verilog/example.v:055: SYMBOL 'i'
verilog/example.v:055: OPERATOR '='
verilog/example.v:055: NUMBER '3'b100'
verilog/example.v:055: OPERATOR ';'
verilog/example.v:056: unreadback '
      '
verilog/example.v:056: KEYWORD 'casez'
verilog/example.v:056: unreadback ' '
verilog/example.v:056: OPERATOR '('
verilog/example.v:056: SYMBOL 'i'
verilog/example.v:056: OPERATOR ')'
verilog/example.v:057: unreadback '
	'
verilog/example.v:057: NUMBER '3'b100'
verilog/example.v:057: OPERATOR ':'
verilog/example.v:057: unreadback ' '
verilog/example.v:057: OPERATOR ';'
verilog/example.v:058: unreadback '
	'
verilog/example.v:058: NUMBER '3'b000'
verilog/example.v:058: OPERATOR ':'
verilog/example.v:058: unreadback ' '
verilog/example.v:058: SYMBOL '$stop'
verilog/example.v:058: OPERATOR ';'
verilog/example.v:059: unreadback '
	'
verilog/example.v:059: NUMBER '3'b010'
verilog/example.v:059: OPERATOR ':'
verilog/example.v:059: unreadback ' '
verilog/example.v:059: SYMBOL '$uerror'
verilog/example.v:059: OPERATOR '('
verilog/example.v:059: STRING '"Why?\n"'
verilog/example.v:059: OPERATOR ')'
verilog/example.v:059: OPERATOR ';'
verilog/example.v:060: unreadback '
	'
verilog/example.v:060: KEYWORD 'default'
verilog/example.v:060: OPERATOR ':'
verilog/example.v:060: unreadback ' '
verilog/example.v:060: SYMBOL '$stop'
verilog/example.v:060: OPERATOR ';'
verilog/example.v:061: unreadback '
      '
verilog/example.v:061: KEYWORD 'endcase'
verilog/example.v:062: unreadback '
      '
verilog/example.v:062: KEYWORD 'if'
verilog/example.v:062: unreadback ' '
verilog/example.v:062: OPERATOR '('
verilog/example.v:062: SYMBOL '$time'
verilog/example.v:062: unreadback ' '
verilog/example.v:062: OPERATOR '>'
verilog/example.v:062: unreadback ' '
verilog/example.v:062: NUMBER '1000'
verilog/example.v:062: OPERATOR ')'
verilog/example.v:062: unreadback ' '
verilog/example.v:062: SYMBOL '$stop'
verilog/example.v:062: OPERATOR ';'
verilog/example.v:063: unreadback '
   '
verilog/example.v:063: KEYWORD 'end'
verilog/example.v:066: unreadback '

    
   '
verilog/example.v:066: KEYWORD 'reg'
verilog/example.v:066: unreadback '	      '
verilog/example.v:066: SYMBOL 'clk'
verilog/example.v:066: OPERATOR ';'
verilog/example.v:067: unreadback '
   '
verilog/example.v:067: KEYWORD 'reg'
verilog/example.v:067: unreadback '	      '
verilog/example.v:067: SYMBOL 'bus_req'
verilog/example.v:067: OPERATOR ';'
verilog/example.v:068: unreadback '		 
   '
verilog/example.v:068: KEYWORD 'reg'
verilog/example.v:068: unreadback '	      '
verilog/example.v:068: SYMBOL 'bus_ack'
verilog/example.v:068: OPERATOR ';'
verilog/example.v:069: unreadback '		 
   '
verilog/example.v:069: KEYWORD 'reg'
verilog/example.v:069: unreadback ' '
verilog/example.v:069: OPERATOR '['
verilog/example.v:069: NUMBER '31'
verilog/example.v:069: OPERATOR ':'
verilog/example.v:069: NUMBER '0'
verilog/example.v:069: OPERATOR ']'
verilog/example.v:069: unreadback ' '
verilog/example.v:069: SYMBOL 'bus_data'
verilog/example.v:069: OPERATOR ';'
verilog/example.v:071: unreadback '

   '
verilog/example.v:071: KEYWORD 'initial'
verilog/example.v:071: unreadback ' '
verilog/example.v:071: KEYWORD 'begin'
verilog/example.v:073: unreadback '
       
      '
verilog/example.v:073: SYMBOL 'bus_req'
verilog/example.v:073: unreadback '  '
verilog/example.v:073: OPERATOR '='
verilog/example.v:073: unreadback ' '
verilog/example.v:073: NUMBER '1'b0'
verilog/example.v:073: OPERATOR ';'
verilog/example.v:074: unreadback '
      '
verilog/example.v:074: SYMBOL 'bus_ack'
verilog/example.v:074: unreadback '  '
verilog/example.v:074: OPERATOR '='
verilog/example.v:074: unreadback ' '
verilog/example.v:074: NUMBER '1'b0'
verilog/example.v:074: OPERATOR ';'
verilog/example.v:075: unreadback '
      '
verilog/example.v:075: SYMBOL 'bus_data'
verilog/example.v:075: unreadback ' '
verilog/example.v:075: OPERATOR '='
verilog/example.v:075: unreadback ' '
verilog/example.v:075: NUMBER '1'b0'
verilog/example.v:075: OPERATOR ';'
verilog/example.v:077: unreadback '
       
      '
verilog/example.v:077: OPERATOR '@'
verilog/example.v:077: unreadback ' '
verilog/example.v:077: OPERATOR '('
verilog/example.v:077: KEYWORD 'posedge'
verilog/example.v:077: unreadback ' '
verilog/example.v:077: SYMBOL 'clk'
verilog/example.v:077: OPERATOR ')'
verilog/example.v:077: unreadback ' '
verilog/example.v:077: OPERATOR ';'
verilog/example.v:078: unreadback '
      '
verilog/example.v:078: SYMBOL 'bus_req'
verilog/example.v:078: unreadback '  '
verilog/example.v:078: OPERATOR '='
verilog/example.v:078: unreadback ' '
verilog/example.v:078: NUMBER '1'b1'
verilog/example.v:078: OPERATOR ';'
verilog/example.v:079: unreadback '
      '
verilog/example.v:079: SYMBOL 'bus_data'
verilog/example.v:079: unreadback ' '
verilog/example.v:079: OPERATOR '='
verilog/example.v:079: unreadback ' '
verilog/example.v:079: NUMBER '32'hfeed'
verilog/example.v:079: OPERATOR ';'
verilog/example.v:081: unreadback '
       
      '
verilog/example.v:081: OPERATOR '@'
verilog/example.v:081: unreadback ' '
verilog/example.v:081: OPERATOR '('
verilog/example.v:081: KEYWORD 'posedge'
verilog/example.v:081: unreadback ' '
verilog/example.v:081: SYMBOL 'clk'
verilog/example.v:081: OPERATOR ')'
verilog/example.v:081: unreadback ' '
verilog/example.v:081: OPERATOR ';'
verilog/example.v:082: unreadback '
      '
verilog/example.v:082: SYMBOL 'bus_req'
verilog/example.v:082: unreadback '  '
verilog/example.v:082: OPERATOR '='
verilog/example.v:082: unreadback ' '
verilog/example.v:082: NUMBER '1'b0'
verilog/example.v:082: OPERATOR ';'
verilog/example.v:084: unreadback '
       
      '
verilog/example.v:084: OPERATOR '@'
verilog/example.v:084: unreadback ' '
verilog/example.v:084: OPERATOR '('
verilog/example.v:084: KEYWORD 'posedge'
verilog/example.v:084: unreadback ' '
verilog/example.v:084: SYMBOL 'clk'
verilog/example.v:084: OPERATOR ')'
verilog/example.v:084: unreadback ' '
verilog/example.v:084: OPERATOR ';'
verilog/example.v:085: unreadback '
      '
verilog/example.v:085: SYMBOL 'bus_ack'
verilog/example.v:085: unreadback '  '
verilog/example.v:085: OPERATOR '='
verilog/example.v:085: unreadback ' '
verilog/example.v:085: NUMBER '1'b1'
verilog/example.v:085: OPERATOR ';'
verilog/example.v:087: unreadback '
       
      '
verilog/example.v:087: OPERATOR '@'
verilog/example.v:087: unreadback ' '
verilog/example.v:087: OPERATOR '('
verilog/example.v:087: KEYWORD 'posedge'
verilog/example.v:087: unreadback ' '
verilog/example.v:087: SYMBOL 'clk'
verilog/example.v:087: OPERATOR ')'
verilog/example.v:087: unreadback ' '
verilog/example.v:087: OPERATOR ';'
verilog/example.v:088: unreadback '
      '
verilog/example.v:088: SYMBOL 'bus_ack'
verilog/example.v:088: unreadback '  '
verilog/example.v:088: OPERATOR '='
verilog/example.v:088: unreadback ' '
verilog/example.v:088: NUMBER '1'b0'
verilog/example.v:088: OPERATOR ';'
verilog/example.v:089: unreadback '
   '
verilog/example.v:089: KEYWORD 'end'
verilog/example.v:090: unreadback '
   '
verilog/example.v:090: KEYWORD 'always'
verilog/example.v:090: unreadback ' '
verilog/example.v:090: OPERATOR '@'
verilog/example.v:090: unreadback ' '
verilog/example.v:090: OPERATOR '('
verilog/example.v:090: KEYWORD 'posedge'
verilog/example.v:090: unreadback ' '
verilog/example.v:090: SYMBOL 'clk'
verilog/example.v:090: OPERATOR ')'
verilog/example.v:090: unreadback ' '
verilog/example.v:090: KEYWORD 'begin'
verilog/example.v:091: unreadback '
      '
verilog/example.v:091: SYMBOL '$uassert_req_ack'
verilog/example.v:091: unreadback ' '
verilog/example.v:091: OPERATOR '('
verilog/example.v:091: SYMBOL 'bus_req'
verilog/example.v:091: OPERATOR ','
verilog/example.v:092: unreadback '
			'
verilog/example.v:092: SYMBOL 'bus_ack'
verilog/example.v:092: unreadback '  '
verilog/example.v:092: OPERATOR ','
verilog/example.v:093: unreadback '
			'
verilog/example.v:093: SYMBOL 'bus_data'
verilog/example.v:093: OPERATOR ')'
verilog/example.v:093: OPERATOR ';'
verilog/example.v:094: unreadback '
   '
verilog/example.v:094: KEYWORD 'end'
verilog/example.v:097: unreadback '

    
   '
verilog/example.v:097: KEYWORD 'initial'
verilog/example.v:097: unreadback ' '
verilog/example.v:097: SYMBOL 'clk'
verilog/example.v:097: unreadback ' '
verilog/example.v:097: OPERATOR '='
verilog/example.v:097: unreadback ' '
verilog/example.v:097: NUMBER '1'b0'
verilog/example.v:097: OPERATOR ';'
verilog/example.v:098: unreadback '
   '
verilog/example.v:098: KEYWORD 'initial'
verilog/example.v:098: unreadback ' '
verilog/example.v:098: KEYWORD 'forever'
verilog/example.v:098: unreadback ' '
verilog/example.v:098: KEYWORD 'begin'
verilog/example.v:099: unreadback '
      '
verilog/example.v:099: OPERATOR '#'
verilog/example.v:099: NUMBER '1'
verilog/example.v:099: OPERATOR ';'
verilog/example.v:100: unreadback '
      '
verilog/example.v:100: SYMBOL 'i'
verilog/example.v:100: unreadback ' '
verilog/example.v:100: OPERATOR '='
verilog/example.v:100: unreadback ' '
verilog/example.v:100: SYMBOL 'i'
verilog/example.v:100: unreadback ' '
verilog/example.v:100: OPERATOR '+'
verilog/example.v:100: unreadback ' '
verilog/example.v:100: NUMBER '1'
verilog/example.v:100: OPERATOR ';'
verilog/example.v:101: unreadback '
      '
verilog/example.v:101: SYMBOL 'clk'
verilog/example.v:101: unreadback ' '
verilog/example.v:101: OPERATOR '='
verilog/example.v:101: unreadback ' '
verilog/example.v:101: OPERATOR '!'
verilog/example.v:101: SYMBOL 'clk'
verilog/example.v:101: OPERATOR ';'
verilog/example.v:102: unreadback '
      '
verilog/example.v:102: KEYWORD 'if'
verilog/example.v:102: unreadback ' '
verilog/example.v:102: OPERATOR '('
verilog/example.v:102: SYMBOL 'i'
verilog/example.v:102: OPERATOR '=='
verilog/example.v:102: NUMBER '20'
verilog/example.v:102: OPERATOR ')'
verilog/example.v:102: unreadback ' '
verilog/example.v:102: SYMBOL '$uwarn'
verilog/example.v:102: unreadback '  '
verilog/example.v:102: OPERATOR '('
verilog/example.v:102: NUMBER '0'
verilog/example.v:102: OPERATOR ','
verilog/example.v:102: unreadback ' '
verilog/example.v:102: STRING '"Don't know what to do next!\n"'
verilog/example.v:102: OPERATOR ')'
verilog/example.v:102: OPERATOR ';'
verilog/example.v:103: unreadback '
      '
verilog/example.v:103: KEYWORD 'if'
verilog/example.v:103: unreadback ' '
verilog/example.v:103: OPERATOR '('
verilog/example.v:103: SYMBOL 'i'
verilog/example.v:103: OPERATOR '=='
verilog/example.v:103: NUMBER '22'
verilog/example.v:103: OPERATOR ')'
verilog/example.v:103: unreadback ' '
verilog/example.v:103: SYMBOL '$uerror'
verilog/example.v:103: unreadback ' '
verilog/example.v:103: OPERATOR '('
verilog/example.v:103: NUMBER '0'
verilog/example.v:103: OPERATOR ','
verilog/example.v:103: unreadback ' '
verilog/example.v:103: STRING '"Guess I'll error out!\n"'
verilog/example.v:103: OPERATOR ')'
verilog/example.v:103: OPERATOR ';'
verilog/example.v:104: unreadback '
   '
verilog/example.v:104: KEYWORD 'end'
verilog/example.v:107: unreadback '

    
   '
verilog/example.v:107: KEYWORD 'always'
verilog/example.v:107: unreadback ' '
verilog/example.v:107: OPERATOR '@'
verilog/example.v:107: OPERATOR '*'
verilog/example.v:107: unreadback ' '
verilog/example.v:107: KEYWORD 'begin'
verilog/example.v:108: unreadback '
      '
verilog/example.v:108: KEYWORD 'if'
verilog/example.v:108: unreadback ' '
verilog/example.v:108: OPERATOR '('
verilog/example.v:108: SYMBOL 'i'
verilog/example.v:108: OPERATOR '=='
verilog/example.v:108: NUMBER '19'
verilog/example.v:108: OPERATOR ')'
verilog/example.v:108: unreadback ' '
verilog/example.v:108: SYMBOL '$uwarn_clk'
verilog/example.v:108: unreadback '  '
verilog/example.v:108: OPERATOR '('
verilog/example.v:108: SYMBOL 'clk'
verilog/example.v:108: OPERATOR ','
verilog/example.v:108: STRING '"Called at next edge (1 of 2)\n"'
verilog/example.v:108: OPERATOR ')'
verilog/example.v:108: OPERATOR ';'
verilog/example.v:109: unreadback '
      '
verilog/example.v:109: KEYWORD 'if'
verilog/example.v:109: unreadback ' '
verilog/example.v:109: OPERATOR '('
verilog/example.v:109: SYMBOL 'i'
verilog/example.v:109: OPERATOR '=='
verilog/example.v:109: NUMBER '18'
verilog/example.v:109: OPERATOR ')'
verilog/example.v:109: unreadback ' '
verilog/example.v:109: SYMBOL '$ucover_clk'
verilog/example.v:109: unreadback ' '
verilog/example.v:109: OPERATOR '('
verilog/example.v:109: SYMBOL 'clk'
verilog/example.v:109: OPERATOR ','
verilog/example.v:109: STRING '"example_cover_label"'
verilog/example.v:109: OPERATOR ')'
verilog/example.v:109: OPERATOR ';'
verilog/example.v:110: unreadback '
      '
verilog/example.v:110: SYMBOL '$ucover_foreach_clk'
verilog/example.v:110: OPERATOR '('
verilog/example.v:110: SYMBOL 'clk'
verilog/example.v:110: OPERATOR ','
verilog/example.v:110: unreadback ' '
verilog/example.v:110: STRING '"foreach_label"'
verilog/example.v:110: OPERATOR ','
verilog/example.v:110: unreadback ' '
verilog/example.v:110: STRING '"27:3,1,0"'
verilog/example.v:110: OPERATOR ','
verilog/example.v:110: unreadback ' '
verilog/example.v:110: OPERATOR '('
verilog/example.v:110: SYMBOL 'i'
verilog/example.v:110: OPERATOR '['
verilog/example.v:110: SYMBOL '$ui'
verilog/example.v:110: OPERATOR ']'
verilog/example.v:110: OPERATOR ')'
verilog/example.v:110: OPERATOR ')'
verilog/example.v:110: OPERATOR ';'
verilog/example.v:111: unreadback '
   '
verilog/example.v:111: KEYWORD 'end'
verilog/example.v:114: unreadback '

    
   '
verilog/example.v:114: KEYWORD 'initial'
verilog/example.v:114: unreadback ' '
verilog/example.v:114: KEYWORD 'begin'
verilog/example.v:116: unreadback '
       
      '
verilog/example.v:116: KEYWORD 'if'
verilog/example.v:116: unreadback ' '
verilog/example.v:116: OPERATOR '('
verilog/example.v:116: NUMBER '0'
verilog/example.v:116: OPERATOR ')'
verilog/example.v:116: unreadback ' '
verilog/example.v:116: KEYWORD 'begin'
verilog/example.v:116: unreadback ' '
verilog/example.v:116: KEYWORD 'end'
verilog/example.v:118: unreadback '  
       
   '
verilog/example.v:118: KEYWORD 'end'
verilog/example.v:121: unreadback '

    
   '
verilog/example.v:121: KEYWORD 'initial'
verilog/example.v:121: unreadback ' '
verilog/example.v:121: KEYWORD 'begin'
verilog/example.v:128: unreadback '
 
  
           
  
           
 
      '
verilog/example.v:128: KEYWORD 'if'
verilog/example.v:128: unreadback ' '
verilog/example.v:128: OPERATOR '('
verilog/example.v:128: NUMBER '1'
verilog/example.v:128: OPERATOR ')'
verilog/example.v:128: unreadback ' '
verilog/example.v:128: KEYWORD 'begin'
verilog/example.v:128: unreadback ' '
verilog/example.v:128: KEYWORD 'end'
verilog/example.v:131: unreadback '   
 
  
      '
verilog/example.v:131: KEYWORD 'if'
verilog/example.v:131: unreadback ' '
verilog/example.v:131: OPERATOR '('
verilog/example.v:131: NUMBER '1'
verilog/example.v:131: OPERATOR ')'
verilog/example.v:131: unreadback ' '
verilog/example.v:131: KEYWORD 'begin'
verilog/example.v:131: unreadback ' '
verilog/example.v:131: KEYWORD 'end'
verilog/example.v:136: unreadback '   
 
           
 

    '
verilog/example.v:136: KEYWORD 'end'
verilog/example.v:138: unreadback '

'
verilog/example.v:138: KEYWORD 'endmodule'
verilog/example.v:140: unreadback '

'
verilog/example.v:140: PREPROC '`line 140 "verilog/example.v" 2
'
verilog/example.v:140: ENDPARSE ''